This content is not available in your preferred language.

The content is shown in another available language. Your browser may include features that can help translate the text.

Execute Verilog or VHDL Code on NI LabVIEW FPGA

Updated Mar 27, 2023

Reported In

Software

  • LabVIEW FPGA Module
  • FPGA Xilinx Compilation Tools

Issue Details

Can I use my existing VHDL or Verilog code in my LabVIEW FPGA code?

Solution

Yes, you can import your VHDL or Verilog into the LabVIEW FPGA VI in the following ways :
  • HDL Interface Node(deprecated) :

    The HDL Interface Node is a configurable VI that allows you to integrate VHDL code into your LabVIEW FPGA VI. The API resembles the Call Library Function Node. You can type your VHDL code directly into the Configure HDL Interface Node dialog box. You also can specify .vhd files in the Configure HDL Interface Node dialog box.​ Refer to the tutorial Importing HDL Code into FPGA VIs Using the HDL Interface Node .

    NOTE : The HDL Interface Node is deprecated and has been replaced with the IP Integration node since LabVIEW 2010.

  • IP Integration Node :

    The functionality is same as the HDL Interface Node. Refer to Importing External IP Into LabVIEW FPGA(section 3)  for more information on using it.

  • Component Level Intellectual Property(CLIP) :

    Use CLIP for parallel execution of your HDL code in the LabVIEW FPGA. Refer to Importing External IP Into LabVIEW FPGA(section1)  to understand more about the CLIP. The same article discusses the difference between a CLIP and IP Integration node. The CLIP Tutorial shows the steps involved in creating a CLIP in detail.

Additional Information

The IP Integration Node and CLIP only support VHDL code written in VHDL-93 or VHDL-2002 syntax. VHDL-2008 syntax is not supported. If you have existing VHDL-2008 syntax code, you can try the following: