This content is not available in your preferred language.

The content is shown in another available language. Your browser may include features that can help translate the text.

Execution Speed of While Loop on FPGA

Updated Mar 27, 2023

Reported In

Software

  • LabVIEW FPGA Module

Issue Details

I use a while loop in my FPGA VI and want to know the period of an iteration. How fast does my while loop iterate?

Solution

A common way to find out the duration of a loop iteration on FPGA is by benchmarking it with the Tick Count Express VI. By using this VI twice in addition to a shift register the duration of the iteration can be measured. This way every iteration the time passed is measured and compared with the time passed of the previous iteration.

 

Additional Information

The accuracy of your measurement depends on how you configure the Express VI. The VI does not respond with fractional numbers. This means that your choice of ms, µs, or ticks affects your accuracy. For example an iteration that takes 47.9 ms will result in a measurement of 47 ms.